Publications

2023

  1. arXiv
    DRAMA: Commodity DRAM based Content Addressable Memory
    arXiv, Dec 2023
  2. ACM TACO
    ApHMM: Accelerating Profile Hidden Markov Models for Fast and Energy-Efficient Genome Analysis
    Can Firtina, Kamlesh Pillai, Gurpreet S. Kalsi, Bharathwaj Suresh, Damla Senol CaliJeremie S. Kim, Taha Shahroodi, Meryem Banu Cavlak, Joël Lindegger, Mohammed Alser, Juan Gómez Luna, Sreenivas Subramoney, and Onur Mutlu
    ACM Trans. Archit. Code Optim., Dec 2023
  3. IEEE TC
    DIPER: Detection and Identification of Pathogens using Edit distance-tolerant Resistive CAM
    Itay Merlin, Esteban Garzón, Alex Fish, and Leonid Yavits
    IEEE Transactions on Computers, Dec 2023
  4. MICRO
    Swordfish: A Framework for Evaluating Deep Neural Network-Based Basecalling Using Computation-In-Memory with Non-Ideal Memristors
    Taha Shahroodi, Gagandeep Singh, Mahdi Zahedi, Haiyu MaoJoel LindeggerCan Firtina, Stephan Wong, Onur Mutlu, and Said Hamdioui
    In Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct 2023
  5. bioRxiv
    DASH-CAM: Dynamic Approximate SearcH Content Addressable Memory for genome classification
    Zuher Jahshan, Itay Merlin, Esteban Garzón, and Leonid Yavits
    bioRxiv, Oct 2023
  6. arXiv
    RawHash2: Accurate and Fast Mapping of Raw Nanopore Signals using a Hash-based Seeding Mechanism
    Can Firtina, Melina Soysal, Joël Lindegger, and Onur Mutlu
    arXiv, Sep 2023
  7. arXiv
    GateSeeder: Near-memory CPU-FPGA Acceleration of Short and Long Read Mapping
    Julien Eudine, Mohammed AlserGagandeep SinghCan Alkan, and Onur Mutlu
    arXiv, Sep 2023
  8. IEEE VLSI
    ClaPIM: Scalable Sequence CLAssification using Processing-In-Memory
    Marcel Khalifa, Barak Hoffer, Orian Leitersdorf, Robert Hanhan, Ben Perach, Leonid Yavits, and Shahar Kvatinsky
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Jul 2023
  9. Bioinformatics
    RawHash: Enabling Fast and Accurate Real-Time Analysis of Raw Nanopore Signals for Large Genomes
    Bioinformatics, Jul 2023
    Proceedings of the 31st Annual Conference on Intelligent Systems for Molecular Biology (ISMB) and the 22nd European Conference on Computational Biology (ECCB)
  10. DAC
    Accelerating Genome Analysis via Algorithm-Architecture Co-Design
    In Proceedings of the 60th Design Automation Conference (DAC), Jul 2023
  11. MMDCS
    Will computing in memory become a new dawn of associative processors?
    IEEE J. Emerg. Sel. Topics Circuits Syst., Jul 2023
  12. AACBB
    GAPiM: a hardware acceleration of Genome Analysis pipeline using Processing in Memory
    In Proceedings of the 5th Workshop on Accelerator Architecture in Computational Biology and Bioinformatics (AACBB), Jun 2023
  13. ISPASS
    TransPimLib: A Library for Efficient Transcendental Functions on Processing-in-Memory Systems
    Maurus Item, Juan Gómez-Luna, Yuxin Guo, Geraldo F Oliveira, Mohammad Sadrosadati, and Onur Mutlu
    In Proceedings of the 24th International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr 2023
  14. ISPASS
    Evaluating Machine Learning Workloads on Memory-Centric Computing Systems
    Juan Gómez-Luna, Yuxin Guo, Sylvan Brocard, Julien Legriel, Remy Cimadomo, Geraldo F Oliveira, Gagandeep Singh, and Onur Mutlu
    In Proceedings of the 24th International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr 2023
  15. Bioinformatics
    Scrooge: A Fast and Memory-Frugal Genomic Sequence Aligner for CPUs, GPUs, and ASICs.
    Bioinformatics, Mar 2023
  16. Bioinformatics
    A framework for high-throughput sequence alignment using real processing-in-memory systems.
    Safaa Diab, Amir Nassereldine, Mohammed AlserJuan Gómez LunaOnur Mutlu, and Izzat El Hajj
    Bioinformatics, Mar 2023
  17. JETCAS
    AM4: MRAM Crossbar Based CAM/TCAM/ACAM/AP for In-Memory Computing
    Esteban Garzón, Marco Lanuzza, Adam Teman, and Leonid Yavits
    IEEE J. Emerg. Sel. Topics Circuits Syst., Mar 2023
  18. NARGAB
    BLEND: a fast, memory-efficient and accurate mechanism to find fuzzy seed matches in genome analysis
    Can Firtina, Jisung Park, Mohammed AlserJeremie S Kim, Damla Senol Cali, Taha Shahroodi, Nika Mansouri Ghiasi, Gagandeep Singh, Konstantinos Kanellopoulos, Can Alkan, and Onur Mutlu
    NAR Genomics and Bioinformatics, Mar 2023
  19. Chips
    Approximate Content-Addressable Memories: A Review
    Esteban Garzón, Leonid Yavits, Adam Teman, and Marco Lanuzza
    Chips, Mar 2023

Posters

2023

  1. RECOMB
    Characterization of Alignment and Search Algorithms for Short Read, Long Read, and Graph Mappers
    Ecem İlgünÖmer Yavuz ÖztürkKlea Zambaku, Juan Gómez Luna, Mohammed AlserRicardo Román-Brenes, The BioPIM Project, and Can Alkan
    In RECOMB 2023, Apr 2023

Related Publications

2022

  1. ISCA
    EDAM: Edit Distance Tolerant Approximate Matching Content Addressable Memory
    Robert Hanhan, Esteban Garzón, Zuher Jahshan, Adam Teman, Marco Lanuzza, and Leonid Yavits
    In Proceedings of the 49th Annual International Symposium on Computer Architecture, 2022

2021

  1. VLSI Tech.
    HERMES Core – A 14nm CMOS and PCM-based In-Memory Compute Core using an array of 300ps/LSB Linearized CCO-based ADCs and local digital processing
    R. Khaddam-Aljameh, M. Stanisavljevic, J. Fornt Mas, G. Karunaratne, M. Braendli, F. Liu, A. Singh, S. M. Müller, U. Egger, A. Petropoulos, T. Antonakopoulos, K. Brew, S. Choi, I. Ok, F. L. Lie, N. Saulnier, V. Chan, I. Ahsan, V. Narayanan, S. R. Nandakumar, M. Le Gallo, P. A. Francese, A. Sebastian, and E. Eleftheriou
    In 2021 Symposium on VLSI Technology, 2021

2020

  1. BIBM
    Variant Calling Parallelization on Processor-in-Memory Architecture
    D. Lavenier, R. Cimadomo, and R. Jodin
    In 2020 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), Dec 2020
  2. MICRO
    GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis
    Damla Senol CaliGurpreet S. KalsiZülal BingölCan Firtina, Lavanya Subramanian, Jeremie S. Kim, Rachata Ausavarungnirun, Mohammed AlserJuan Gomez-Luna, Amirali Boroumand, Anant Norion, Allison Scibisz, Sreenivas SubramoneyonCan AlkanSaugata Ghose, and Onur Mutlu
    In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec 2020
  3. SYSTOR
    BioSEAL: In-Memory Biological Sequence Alignment Accelerator for Large-Scale Genomic Data
    Roman Kaplan, Leonid Yavits, and Ran Ginosar
    In Proceedings of the 13th ACM International Systems and Storage Conference, Dec 2020

2019

  1. IEEE Micro
    RASSA: Resistive Prealignment Accelerator for Approximate DNA Long Read Mapping
    Roman Kaplan, Leonid Yavits, and Ran Ginosar
    IEEE Micro, Jul 2019

2018

  1. BMC Genomics
    GRIM-Filter: Fast seed location filtering in DNA read mapping using processing-in-memory technologies
    Jeremie S. KimDamla Senol Cali, Hongyi Xin, Donghyuk Lee, Saugata GhoseMohammed Alser, Hasan Hassan, Oguz Ergin, Can Alkan, and Onur Mutlu
    BMC Genomics, May 2018

2017

    2016

    1. BIBM
      DNA mapping using Processor-in-Memory architecture
      Dominique Lavenier, Jean-Francois Roy, and David Furodet
      In 2016 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), May 2016